Hot News:

Mit Unterstützung durch:

  Foren auf CAD.de (alle Foren)
  Berechnung von Maschinenelementen
  Maschinenelemente-Klausur

Antwort erstellen  Neues Thema erstellen
CAD.de Login | Logout | Profil | Profil bearbeiten | Registrieren | Voreinstellungen | Hilfe | Suchen

Anzeige:

Darstellung des Themas zum Ausdrucken. Bitte dann die Druckfunktion des Browsers verwenden. | Suche nach Beiträgen nächster neuer Beitrag | nächster älterer Beitrag
Autor Thema:  Maschinenelemente-Klausur (3525 mal gelesen)
PostalService
Mitglied



Sehen Sie sich das Profil von PostalService an!   Senden Sie eine Private Message an PostalService  Schreiben Sie einen Gästebucheintrag für PostalService

Beiträge: 33
Registriert: 24.08.2005

erstellt am: 24. Aug. 2005 18:31    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities

Hallo,

ich schreibe übermorgen meine Klausur über Maschinenelemente I und es sind mir folgende Fragen unklar...

Ich hoffe, ihr könnt' mir da weiterhelfen!

1.) Was versteht man unter einer Wöhlerlinie? Nennen Sie einen wichtigen Parameter für Wöhlerlinien. Was verstehen Sie unter Dauerfestigkeit und Zeitfestigkeit?


2. ) In welchen Fällen und warum werden Dehnschrauben eingesetzt? (Skizze zur Erklärung)


3.) In welcher Einheit wird bei Radlagern (z.B.: Pkw, Lkw, Lokomotive, ....) die Lebensdauer angegeben, und welcher Konstruktionsparameter hat hierbei den größten Einfluss auf die Lebensdauer?

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

nschlange
Mitglied



Sehen Sie sich das Profil von nschlange an!   Senden Sie eine Private Message an nschlange  Schreiben Sie einen Gästebucheintrag für nschlange

Beiträge: 214
Registriert: 23.03.2004

Win XP Pro
Sp 2
NX 3, Mechanical Desktop 2004

erstellt am: 24. Aug. 2005 19:41    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Hi,

1.) Die Wöhler-Kurve ist das Ergebnis einer großen Anzahl von Dauer-
schwingversuchen, wobei man den Spannungsausschlag gegen die Bruch-
schwingspielzahl aufträgt. Ein wichtiger Parameter ist die Über-
lebenswahrscheinlichkeit.
Im Wöhlerkontext ist Zeitfestigkeit der Spannungsausschlag, der nur
eine begrenzte Schwingspielzahl ausgehalten wird.
Bei Dauerfestigkeit wird der Spannungsausschlag beliebig lange ohne
Versagen ertragen.

2.) Bei Schraubverbindungen soll der Vorspannkraftverlust klein
gehalten werden. Wenn z.B. auf Grund kurzer Schrauben (Platzproblem)
nur eine geringe Dehnung zu erreichen ist, nimmt man Dehnschrauben.
Auch bei dyn. Belastung nimmt man Dehnschrauben.

3.) Da Radlager auch nur Lager sind, wird die Lagerlebensdauer
wohl wie üblich in Stunden oder Umdrehungen angegeben.
Einfluss haben die dyn. Tragzahl, die dyn. äquivalente Belastung
und der Lebensdauerexponent.
In der Klausur sollte man die Formel parat haben!

Viel Glück für die Klausur!
Viele Grüße
nschlange

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

U_Suess
Ehrenmitglied V.I.P. h.c.
CAD-Admin / manchmal Konstrukteur



Sehen Sie sich das Profil von U_Suess an!   Senden Sie eine Private Message an U_Suess  Schreiben Sie einen Gästebucheintrag für U_Suess

Beiträge: 10572
Registriert: 14.11.2001

Creo parameteric 4
Windows 7

erstellt am: 24. Aug. 2005 20:21    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Zitat:
Original erstellt von nschlange:
In der Klausur sollte man die Formel parat haben!

Und vorher vielleicht die Vorlesungen mal besucht haben!

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

PostalService
Mitglied



Sehen Sie sich das Profil von PostalService an!   Senden Sie eine Private Message an PostalService  Schreiben Sie einen Gästebucheintrag für PostalService

Beiträge: 33
Registriert: 24.08.2005

erstellt am: 25. Aug. 2005 10:59    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities

Alles klar, dann sag' ich mal vielen Dank und hoffe, dass das morgen alles halbwegs klappt!

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

docci
Mitglied
Dipl.-Ing. (FH)


Sehen Sie sich das Profil von docci an!   Senden Sie eine Private Message an docci  Schreiben Sie einen Gästebucheintrag für docci

Beiträge: 219
Registriert: 01.04.2005

creo paramatric 3.0 m110

erstellt am: 25. Aug. 2005 11:59    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Hallo PS,

Dein Fehlen von Grundlagen ist für mich leicht erschütternd.
Ich selbst war ja auch nicht der Lerngott, aber was eine Wöhlerlinie ist steht mit Sicherheit
in einem Deiner ungelesenen Skripte, im Dubbel und auf tausend Webseiten...
Wie heißt die FH/Uni, an der man so überleben kann? 

Desweiteren sind recht anschlauliche, nicht ins Detail gehende Erklärungen zu Deinen Problemen auf meiner Lieblingsseite http://www.wikipedia.de

Trotzdem viel Erfolg 

------------------
siege, aber triumphiere nicht.

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

sb
Mitglied



Sehen Sie sich das Profil von sb an!   Senden Sie eine Private Message an sb  Schreiben Sie einen Gästebucheintrag für sb

Beiträge: 46
Registriert: 07.10.2002

erstellt am: 25. Aug. 2005 14:21    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Hallo docci,

jetzt muss ich doch mal in meiner Funktion als Moderator einschreiten:

Dein Beitrag mag aus vollem Herzen sein, ist aber nicht sachlich und hat von dem her hier nichts zu suchen. Schickst Du eine Relativierung hinterher oder soll ich ihn löschen?

Gruss, Stefan.

PS: Schaue mal auf dein Motto, das ist gut.

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

Willi123
Mitglied



Sehen Sie sich das Profil von Willi123 an!   Senden Sie eine Private Message an Willi123  Schreiben Sie einen Gästebucheintrag für Willi123

Beiträge: 484
Registriert: 15.06.2004

IV8

erstellt am: 25. Aug. 2005 15:51    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Zitat:
Original erstellt von sb:
... ist aber nicht sachlich und hat von dem her hier nichts zu suchen.

Stimmt, das hätte man auch freundlicher sagen können, besonders zu einem Neumitglied. Deshalb nachträglich, lieber "Briefträger", herzlich willkommen im Forum.

Aber in einem hat docci Recht: solche konkreten Fragestellungen kann man mit Google oder dem Inhaltsverzeichnis gängiger Lehrbücher sicherlich schneller und besser beantworten als über ein Forum.

------------------
Gruß, Willi 

Das Internet ist uninteressant !! (Bill Gates, 1995)

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

PostalService
Mitglied



Sehen Sie sich das Profil von PostalService an!   Senden Sie eine Private Message an PostalService  Schreiben Sie einen Gästebucheintrag für PostalService

Beiträge: 33
Registriert: 24.08.2005

erstellt am: 25. Aug. 2005 15:52    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities

@sb:

Ich kann mit der Kritik wohl leben. Und eigentlich hat docci ja recht, dass bei mir bestimmt Grundlagen fehlen. Aber das ist im 2. Semester bestimmt nicht nur in Konstruktionslehre so...

mfg
PS

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

docci
Mitglied
Dipl.-Ing. (FH)


Sehen Sie sich das Profil von docci an!   Senden Sie eine Private Message an docci  Schreiben Sie einen Gästebucheintrag für docci

Beiträge: 219
Registriert: 01.04.2005

creo paramatric 3.0 m110

erstellt am: 25. Aug. 2005 16:10    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

aloa gemeinde,
ja sorry, war nicht so hart gemeint wie's vllt rüberkam.
war in einer hungrigen laune vor der mittagspause geschrieben 
relativiert betrachtet, muss ich sagen, dass ich inhaltlich bei meiner meinung bleibe. die ausdrucksweise war evtl. nicht ganz die richtige.

wünsche natürlich trotzdem viel erfolg beim gelingen der arbeit 

------------------
siege, aber triumphiere nicht.

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

U_Suess
Ehrenmitglied V.I.P. h.c.
CAD-Admin / manchmal Konstrukteur



Sehen Sie sich das Profil von U_Suess an!   Senden Sie eine Private Message an U_Suess  Schreiben Sie einen Gästebucheintrag für U_Suess

Beiträge: 10572
Registriert: 14.11.2001

Creo parameteric 4
Windows 7

erstellt am: 25. Aug. 2005 16:21    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Zitat:
Original erstellt von PostalService:
Aber das ist im 2. Semester bestimmt nicht nur in Konstruktionslehre so...

Wann willst Du denn dann diese Grundlagen lernen? Etwa kurz vor dem Diplom? 
Sorry, daß ich schon wieder auf Dir rumhacke, aber solche Grundlagen werden nun mal am Anfang vermittelt, weil man sie dann ständig braucht. Leider habe ich schon erfahren müssen, wozu das führen kann. Und wenn ich darüber nachdenke, dann bekommt man wirklich Angst um den so hochgelobten Ingenieurnachwuchs. Oder wie sehen das andere Maschinenbauer, wenn man von einem Diplomanten gefragt wird, warum man bei einer Wellenlagerung immer ein Loslager und ein Festlager nehmen muß.

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

Andy-UP
Ehrenmitglied V.I.P. h.c.
Projektingenieur



Sehen Sie sich das Profil von Andy-UP an!   Senden Sie eine Private Message an Andy-UP  Schreiben Sie einen Gästebucheintrag für Andy-UP

Beiträge: 2566
Registriert: 27.10.2003

erstellt am: 25. Aug. 2005 16:32    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Nun vergraul uns doch nicht diese jungen Semester, Udo.
Die verlieren ja sonst noch die Lust an CAD.DE und das wäre doch wohl ganz schlecht, oder?  Schlieslich sollen die ja noch posten wenn wir schon längst im verdienter Ruhestand sind.

Ich persönlich bin der Meinung daß man im 2. Semester noch alle Wissenslücken haben darf die es gibt.
BTWBy the way (So nebenbei bemerkt) : Wenn ich euch erzählen würde auf wieviele Semester ich es schlussendlich gebracht habe....
...aber ich tu´s nicht.

Andreas

[Diese Nachricht wurde von Andy-UP am 25. Aug. 2005 editiert.]

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

sb
Mitglied



Sehen Sie sich das Profil von sb an!   Senden Sie eine Private Message an sb  Schreiben Sie einen Gästebucheintrag für sb

Beiträge: 46
Registriert: 07.10.2002

erstellt am: 25. Aug. 2005 17:12    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Meiner Meinung nach sollte jeder das Recht haben, zu fragen. Wenn die Frage als "unverschämt" betrachtet wird, braucht man ja nicht zu antworten.
Ebenfalls meiner Meinung nach war die hier diskutierte Frage an der Grenze. So etwas kann man auch in einem Buch oder Manuskript finden. Aber wenn Posti in Zukunft (ab dem dritten Semester) den Neulingen genauso geduldig Auskunft gibt wie nschlange, dann sind doch alle zufrieden.
Was ich nicht gut finde, ist, wenn sich jemand hinten dran hängt und seine Meinung zur Frage kundtut, wenn die Sache als solche ja schon durch ist.
Aber ich habe jetzt ja auch nur Meinungen gesagt.

Hat noch jemand eine Frage zur Wöhlerlinie?

Gruss an alle, Stefan.

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

Blizzard2
Mitglied
MB Student


Sehen Sie sich das Profil von Blizzard2 an!   Senden Sie eine Private Message an Blizzard2  Schreiben Sie einen Gästebucheintrag für Blizzard2

Beiträge: 27
Registriert: 17.08.2004

NX2;
Inventor 8;
Pro/E Wf2;
auf Win XP

erstellt am: 25. Aug. 2005 17:30    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Jetzt kriegt euch mal nicht alle in die Haare.

Ich für meinen Teil hab mir die Antwort ausgedruckt und überleg mir wie ich sie beim nächsten Fachschafftsabend vewende und welchem Professor ich die Frage stelle 

wird trotzdem Zeit sich wieder normalen Themen zu widmen, oder?

Gruß
Sebastian

------------------
1. Grundsatz: Es ist halt so
2. Grundsatz: ersten Grundsatz ignorieren und Gehirn einschalten 

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

docci
Mitglied
Dipl.-Ing. (FH)


Sehen Sie sich das Profil von docci an!   Senden Sie eine Private Message an docci  Schreiben Sie einen Gästebucheintrag für docci

Beiträge: 219
Registriert: 01.04.2005

erstellt am: 26. Aug. 2005 08:24    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Mein letzter Post zu der Sache...

ich bin mir durchaus bewußt, daß es zu (fast) jeder gestellten Frage jemanden gibt, der diese als simpel auffaßt. Ich wollte lediglich in Frage stellen, daß man mit dieser Motivation ein Maschinenbaustudium (was ich annehme) in Deutschland erfolgreich abschließen kann. Es dürfte eher selten vorkommen, daß Dinge vorausgesetzt werden, die nicht zuvor auch gelehrt wurden.

@sb: zumindest hatte ich durch oben geposteten Link versucht zu helfen. Hilfe zum Thema in irgendeiner Form konnte ich bei dir als Mod leider nicht erkennen  ... nehm nicht alles so ernst 

Wünsche ein sonniges Wochenende 

------------------
siege, aber triumphiere nicht.

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

PostalService
Mitglied



Sehen Sie sich das Profil von PostalService an!   Senden Sie eine Private Message an PostalService  Schreiben Sie einen Gästebucheintrag für PostalService

Beiträge: 33
Registriert: 24.08.2005

erstellt am: 26. Aug. 2005 12:35    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities

So, die Klausur ist gelaufen und, da ich ja jetzt den Kopf frei habe, will ich mich auch nochmal hierzu äußern.

Im Großen und Ganzen finde ich es wirklich eine Frechheit, jemanden die Motivation und indirekt seine Eignung zum Ingenieur abzusprechen, nur weil er im Zuge der Prüfungsvorbereitung noch schnell 3 Fragen geklärt haben möchte. Das ist im größten Maße lächerlich, denn ich denke nicht, dass die Fähigkeiten dadurch klassifiziert werden, dass jemand weiss, was eine Wöhlterlinie ist oder eben nicht.

Ausserdem kennt niemand die Ursache für die wohl vorhandene Wissenslücke. Wie man den Stoff eines Semesters lernt und dann auch behält, ist von sehr vielen Faktoren abhängig: Wie interessant wird dieser vom Professor/Dozenten in Vorlesung/Übung/Tutorium vermittelt? Wie gut und wie vollständig ist das begleitende Schriftmaterial? Und, wie waren die Lebensumstände des Studenten in diesem Semester? Gab des familiäre Rückschläge oder sonstige Probleme? (Ich würde den Studenten gerne mal sehen, der in aller Ruhe und mit bestem Gewissen den Stoff semesterbegleitend aufarbeitet, wenn z.B. gerade seine Mutter gestorben ist...

Also, bevor hier nochmal jemand über das Ziel hinausschießt, sollte er vielleicht auch mal kurz den Standpunkt des anderen Seite überdenken...

Mein Wort zum Sonntag!

PostalService

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

CADmium
Ehrenmitglied V.I.P. h.c.
Maschinenbaukonstrukteur



Sehen Sie sich das Profil von CADmium an!   Senden Sie eine Private Message an CADmium  Schreiben Sie einen Gästebucheintrag für CADmium

Beiträge: 13508
Registriert: 30.11.2003

ACAD MECHANICAL POWERPACK 2000
auf WINNT

erstellt am: 26. Aug. 2005 13:04    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Zitat:
Original erstellt von U_Suess:
warum man bei einer Wellenlagerung immer ein Loslager und ein Festlager nehmen muß.

.. muss man? ..  was ist mit 'ner "O" oder "X" - Lagerung? ... Kommt doch immer auf das System, die auftretenden Randbedingungen usw. an..

Ich kann mir aber vorstellen, dass dein noch nicht ganz diplomierter Gesprächspartner soweit nicht gedacht hat....

@PostalService .. lass gut sein! Dir wollt hier keiner "am Zeug flicken" obwohl ich auch finde,  "Wöhlerlinie" sollte für einen angehenden Maschinenbauer - egal welchen Semsters - schon ein Begriff sein.
Und zur Not : wozu gibts google

------------------
- Thomas -
"Bei 99% aller Probleme ist die umfassende Beschreibung des Problems bereits mehr als die Hälfte der Lösung desselben."

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

Charly Setter
Moderator





Sehen Sie sich das Profil von Charly Setter an!   Senden Sie eine Private Message an Charly Setter  Schreiben Sie einen Gästebucheintrag für Charly Setter

Beiträge: 11977
Registriert: 28.05.2002

erstellt am: 26. Aug. 2005 16:01    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Gut, wenn´s im Google zu finden ist, dann doch lieber hier fragen.
Oder besser: Die Suchfunktion benutzen.

Wo gibt´s sonst so erfrischende Diskussionen 

@PostalService: Als ich heute deine Fragen zum 1. mal gelesen habe lag mir eine Antwort / Frage auf der Zunge/Tastatur, die dem Beitrag von Docci sehr nahe kam.

Wir haben hier alle die entsprechende Klausur hinter uns. Alle unter ziemlichen Zeitdruck (z.b.: Den Stoff von 4 Semestern in 2 ME-Klausuren innerhalb von 2 Tagen. Verpackt in einen Prüfungstermin bestehend aus 10 Prüfungen innerhalb von 20 Tagen. Nichterscheinen = durchgefallen). D.h.: Den Streß und den benötigten Umfang kennen wir alle.

Das erschreckende an deinen Fragen war einfach, das es sich um ABSOUTES Grundlagenwissen handelte, das in jedem Standardwerk (z.B.: Roloff Mattek) behandelt wird. Ich hoffe, das das die einzigen "Lücken" waren und Du die Klausur gepackt hast.

Ansonsten: Willkommen im WBF

lg Mathias

Und so ganz nebenbei: Bei den "negativen" Antworten/Kommentaren spielt sicher auch der Schreck eine Rolle,den man bekommt, wenn man über die Qualität der heutigen Ingenieursausbildung nachdenkt. Und damit ist jetzt die Qualität des Lehrkörpers gemeint.

------------------
Der vernünftige Mensch paßt sich der Welt an;
der unvernünftige besteht auf dem Versuch, die Welt sich anzupassen.

Deshalb hängt aller Fortschritt vom unvernünftigen Menschen ab.
(George Bernard Shaw)

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

Blizzard2
Mitglied
MB Student


Sehen Sie sich das Profil von Blizzard2 an!   Senden Sie eine Private Message an Blizzard2  Schreiben Sie einen Gästebucheintrag für Blizzard2

Beiträge: 27
Registriert: 17.08.2004

NX2;
Inventor 8;
Pro/E Wf2;
auf Win XP

erstellt am: 26. Aug. 2005 16:18    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Zitat:
Original erstellt von CADmium:
.. muss man? ..  was ist mit 'ner "O" oder "X" - Lagerung? ... Kommt doch immer auf das System, die auftretenden Randbedingungen usw. an..

Grüße aus dem 9. Semester,

berichitgt mich, falls ich da jetzt auf dem Holzweg bin, aber x und o stellen bei, nehmen wir Radial-Pendelrollenlager, lediglich eine Möglichkeit zur Aufnahme von Axialkräften bei schrägverzahnten Getrieben u.ä. dar.
Trotzdem ist eine Seite als Loslager auszuführen. Die thermische Dehnung muss weiterhin bedacht werden.

Gruß
Sebastian

------------------
1. Grundsatz: Es ist halt so
2. Grundsatz: ersten Grundsatz ignorieren und Gehirn einschalten 

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

Charly Setter
Moderator





Sehen Sie sich das Profil von Charly Setter an!   Senden Sie eine Private Message an Charly Setter  Schreiben Sie einen Gästebucheintrag für Charly Setter

Beiträge: 11977
Registriert: 28.05.2002

erstellt am: 26. Aug. 2005 18:24    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Bei X bzw. O-Lagerung baust Du eine schwimmende Lagerung aus zwei Lagern auf. Die Vorspannung der Lagerung mußt Du dann selber bestimmen bzw. an deine Bedingungen anpassen. Dazu gehört auch die Berücksichtigung der thermischen Ausdehnung.

Aber auch das steht im Roloff Mattek bzw. im Lagerkatalog 

------------------
Der vernünftige Mensch paßt sich der Welt an;
der unvernünftige besteht auf dem Versuch, die Welt sich anzupassen.

Deshalb hängt aller Fortschritt vom unvernünftigen Menschen ab.
(George Bernard Shaw)

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

CADmium
Ehrenmitglied V.I.P. h.c.
Maschinenbaukonstrukteur



Sehen Sie sich das Profil von CADmium an!   Senden Sie eine Private Message an CADmium  Schreiben Sie einen Gästebucheintrag für CADmium

Beiträge: 13508
Registriert: 30.11.2003

ACAD MECHANICAL POWERPACK 2000
auf WINNT

erstellt am: 26. Aug. 2005 18:29    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Zitat:
Original erstellt von Blizzard2:
Grüße aus dem 9. Semester,

berichitgt mich, falls ich da jetzt auf dem Holzweg bin, aber x und o stellen bei, nehmen wir Radial-Pendelrollenlager, lediglich eine Möglichkeit zur Aufnahme von Axialkräften bei schrägverzahnten Getrieben u.ä. dar.
Trotzdem ist eine Seite als Loslager auszuführen. Die thermische Dehnung muss weiterhin bedacht werden.

Gruß
Sebastian



Siehe hier 

------------------
- Thomas -
"Bei 99% aller Probleme ist die umfassende Beschreibung des Problems bereits mehr als die Hälfte der Lösung desselben."

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

nschlange
Mitglied



Sehen Sie sich das Profil von nschlange an!   Senden Sie eine Private Message an nschlange  Schreiben Sie einen Gästebucheintrag für nschlange

Beiträge: 214
Registriert: 23.03.2004

Win XP Pro
Sp 2
NX 3, Mechanical Desktop 2004

erstellt am: 26. Aug. 2005 21:23    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

X- und O-Lagerung wählt man vor allem entsprechend der
gewünschten (benötigten) Stützwirkung. Daher kommen
ja das X und das O.
Dabei ist zu beachten, das sich die erwähnte thermische
Ausdehnung gegensätzlich (bzgl. Lagerspiel) auswirkt.

Die eigene Klausur ist sowieso immer die schwerste!

Schönes Wochenende
nschlange

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

CADmium
Ehrenmitglied V.I.P. h.c.
Maschinenbaukonstrukteur



Sehen Sie sich das Profil von CADmium an!   Senden Sie eine Private Message an CADmium  Schreiben Sie einen Gästebucheintrag für CADmium

Beiträge: 13508
Registriert: 30.11.2003

ACAD MECHANICAL POWERPACK 2000
auf WINNT

erstellt am: 26. Aug. 2005 21:30    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Zitat:
Original erstellt von nschlange:
X- und O-Lagerung wählt man vor allem entsprechend der
gewünschten (benötigten) Stützwirkung. Daher kommen
ja das X und das O.
Dabei ist zu beachten, das sich die erwähnte thermische
Ausdehnung gegensätzlich (bzgl. Lagerspiel) auswirkt.

Jahaaa ..
<dream ... hätt ich doch bloß nichts gepostet..>

------------------
- Thomas -
"Bei 99% aller Probleme ist die umfassende Beschreibung des Problems bereits mehr als die Hälfte der Lösung desselben."

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

Blizzard2
Mitglied
MB Student


Sehen Sie sich das Profil von Blizzard2 an!   Senden Sie eine Private Message an Blizzard2  Schreiben Sie einen Gästebucheintrag für Blizzard2

Beiträge: 27
Registriert: 17.08.2004

NX2;
Inventor 8;
Pro/E Wf2;
auf Win XP

erstellt am: 28. Aug. 2005 09:32    Editieren oder löschen Sie diesen Beitrag!  <-- editieren / zitieren -->   Antwort mit Zitat in Fett Antwort mit kursivem Zitat    Unities abgeben: 1 Unity (wenig hilfreich, aber dennoch)2 Unities3 Unities4 Unities5 Unities6 Unities7 Unities8 Unities9 Unities10 Unities Nur für PostalService 10 Unities + Antwort hilfreich

Hallo zusammen,

ich bin aber auch ein Trottel!
Vielen Dank für die vielen nützlichen Antworten, alles klar jetzt.

Sebastian

------------------
1. Grundsatz: Es ist halt so
2. Grundsatz: ersten Grundsatz ignorieren und Gehirn einschalten 

Eine Antwort auf diesen Beitrag verfassen (mit Zitat/Zitat des Beitrags) IP

Anzeige.:

Anzeige: (Infos zum Werbeplatz >>)

Darstellung des Themas zum Ausdrucken. Bitte dann die Druckfunktion des Browsers verwenden. | Suche nach Beiträgen

nächster neuerer Beitrag | nächster älterer Beitrag
Antwort erstellen


Diesen Beitrag mit Lesezeichen versehen ... | Nach anderen Beiträgen suchen | CAD.de-Newsletter

Administrative Optionen: Beitrag schliessen | Archivieren/Bewegen | Beitrag melden!

Fragen und Anregungen: Kritik-Forum | Neues aus der Community: Community-Forum

(c)2023 CAD.de | Impressum | Datenschutz